超标量处理器设计——第七章-pg电子平台

大家好!今天让小编来大家介绍下关于超标量处理器设计——第七章_寄存器重命名的问题,以下是酷知号的小编对此问题的归纳整理,让我们一起来看看吧。


参考《超标量处理器》姚永斌著

文章目录

  • 超标量处理器设计——第七章_寄存器重命名
    • 7.1 简述
    • 7.2 寄存器重命名方式
      • 7.2.1 用rob进行寄存器重命名
      • 7.2.2 扩展arf进行寄存器重命名
      • 7.2.3 使用统一的prf进行寄存器重命名
    • 7.3 重命名映射表(rat)
      • 7.3.1基于sram的重命名映射表
      • 7.3.2 基于cam的重命名映射表
    • 7.4 超标量处理器的寄存器重命名
      • 7.4.1 解决raw相关性
      • 7.4.2 解决waw相关性
    • 7.5 寄存器重命名过程的恢复
      • 7.5.1 checkpoint
      • 7.5.2 使用walk
      • 7.5.3 使用architecture state
    • 7.6 分发

7.1 简述

程序中存在很多相关性。可以分为:

  1. 数据相关性:

  2. 存储器数据相关性:

    • load/store的相关,也可以分为waw,war和raw三种类型。
  3. 控制相关性:

    • 分支指令引起的相关性,可以用分支预测解决。
  4. 结构相关性:

    • 指令必须等到某些部件空闲时才能使用。例如发射队列和重排序缓存,或者功能单元fu为空闲时才能继续执行。

只有raw是真相关性。waw是先写再写,可以通过重命名让两条指令先写到不同的寄存器;war是先读后写,可以通过重命名让写指令写到另一个寄存器,就不会对读产生干扰。而先写后读,读指令必须读到新写进去的值,所以只有raw才是真的数据相关。

例子:

之所以会有上述相关性,主要原因还是:

  1. 寄存器个数有限。
  2. 程序中循环体会重复像同一个变量赋值
  3. 代码重用。某些函数在一段时间被频繁调用。

解决办法:寄存器重命名(register renaming)

  • 指令集定义的寄存器为逻辑寄存器(logical register 或 architecture register)
  • 处理器内部实际存在的寄存器为物理寄存器(physical register)
  • 将逻辑寄存器映射到物理寄存器:重命名映射表(register renaming table) ,intel也将其称为register alias table, rat

7.2 寄存器重命名方式

三种方式

  1. 将逻辑寄存器(architechure register file, arf)扩展来实现重命名。
  2. 使用统一的物理寄存器(prf)来实现重命名。
  3. 使用rob来实现重命名。

实现时,需要考虑:

  1. 何时占用一个物理寄存器,这个物理寄存器来自哪里?
  2. 何时释放这个物理寄存器,这个物理寄存器去往哪里?
  3. 发生分支预测失败时,如何处理?
  4. 发生异常时,如何处理?

7.2.1 用rob进行寄存器重命名

  在超标量处理器中,每条指令都会将自身的信息按照程序中原始的顺序存储到rob中,当一条指令将结果计算出来之后,会将其写到rob中,但是由于分支预测失败(mis-prediction)和异常(exception)等原因,这些结果未必就是正确的,它们的状态被称为推测的(speculative). 在一条指令离开流水线之前(也就是退休之前),它都会一直待在rob中,只有当指令变为流水线中最旧的指令,并且被验证为正确的时候,才会离开rob,并使用它的结果对处理器的状态进行更新,例如将结果写到arf中。
  下面展示了rob进行寄存器重命名的过程:

  指令被写到rob中的一个表项时,该表项在rob中的编号就是指令的目的寄存器对应的物理寄存器号。这样相当于将逻辑寄存器对应到了物理寄存器。

  • 指令离开流水线后(退休)会将结果从rob中更新到arf中。
  • arf和rob中存储了两份逻辑寄存器的值。某条指令还在流水线中,那么该指令所用的逻辑寄存器的值还在rob中,arf的存储内容就不是这个逻辑寄存器的最新值
  • 需要一个重命名映射表来指示每个逻辑寄存器的值是在rob还是arf,如下图所示。图中的重命名寄存器表里每个表项表示了该逻辑寄存器是在哪里,以及对应的rob地址。
  • 指令退休后,结果会从rob中搬移到arf中,这个信息也需要更新到重命名映射表中。

该方法的缺点

  1. 不是所有指令都有目的寄存器,但是rob中都会统一为每个表项分配物理寄存器的字段。
  2. 对于一条指令,既可以从rob中取源操作数,也可以从arf中取。rob和arf都需要支持最坏的情况。比如对4路超标量处理器来说,如果一条指令的源寄存器有两个,那就要支持8个读端口。

该方法的优点:

  1. 容易实现,复杂度不高,性能不错。

7.2.2 扩展arf进行寄存器重命名

  对rob方案进行升级,既然有些指令没有目的寄存器,那就只保存那些有目的寄存器的指令。
  可以使用一个独立的存储部件,用来存储流水线中所有指令的结果, 只有那些存在目的寄存器的指令才会占据这个存储部件当中的存储空间,这个存储部件称为prf(physical register file)。可以看作arf(architecture register file)的扩展。

prf 可以通过fifo来实现。

下面是该方法的实现图:

   可以发现,仍然需要将逻辑寄存器的值存放在两个地方。
但是好处是相比于使用rob,该方法不再需要为每条指令都开辟一个物理寄存器的字段。

7.2.3 使用统一的prf进行寄存器重命名

  该方法相当于将arf和prf合并。合并后称为统一的prf

  在该prf中,没有和指令产生映射关系的寄存器都处于空闲状态。有一个空闲列表来记录哪些寄存器是空闲的。

  • free list用fifo实现。
  • 对于一个4-way的超标量处理器,需要每周期从fifo读4个物理寄存器编号出来。
  • 每周期最多可以退休4条指令,所以最多有4个空闲物理寄存器编号会写入fifo。
  • 当空闲列表被读空,表示物理寄存器全部占用,流水线重命名及其之前的阶段就要被暂停。直到有指令退休释放物理寄存器为止。
  • 当外部查看处理器状态时,很多物理寄存器还是推测的,因为相应的指令还没有退休,所以这些处于推测状态的寄存器不应该被外部可见。因此需要开辟另一个重命名映射表(rat),用于存储所有退休状态的指令(逻辑寄存器)和物理寄存器的对应关系。

新的问题:一个物理寄存器被占用后,何时再次变为空闲?

  • 理论上来说,只要最后一条使用该物理寄存器的指令退休即可。

  • 实际上这个条件在硬件中并不好判断。处理器采用的是一种简单保守的方法:

  • 当一条指令和后面的某条指令写到同一个目的寄存器时,后面的指令退休时,前面的指令对应的物理寄存器就没用了。此时该物理寄存器就可以变为空闲。上图中,b指令退休时,逻辑寄存器的值就被覆写了,所以在此之前使用了r1对应的物理寄存器的指令都应该完成了,就可以释放b指令之前r1的重命名寄存器了。

  • 因此在rob中除了记录逻辑寄存器当前对应的物理寄存器之外,还需要存储它之前对应的物理寄存器,便于该指令退休时释放旧的映射关系。

使用统一的prf进行寄存器重命名的缺点

  1. 控制逻辑复杂,难度更大。
  2. 需要更多的电路:free list存储空闲物理寄存器编号,两个重命名映射表(rat)配合。

优点

  1. 寄存器的值只要被写入一次,就不需要进行移动。其他两种方法都是先写入rob或prf,之后再写回arf。两次写入带来两倍的功耗。
  2. 一条指令的源寄存器的值值会存在一个地方,即prf中。其他两个方法中值可能会在两个地方,即arf和rob(prf)中。存在两个地方有一个坏处,就是当物理寄存器值写回arf中时,需要将该信息通知流水线中所有用了这个寄存器作为操作数的指令,增加了连线数量和功耗。

7.3 重命名映射表(rat)

  重命名映射表提供了逻辑寄存器到物理寄存器的映射关系。因此rat的地址就是逻辑寄存器编号,内容是物理寄存器编号。这个表格在实现上有两种方式:

  1. 基于sram(sram-based rat, srat)
  2. 基于cam(cam-based rat, crat)

srat:

  • 表项的个数为逻辑寄存器的个数
  • 单张表的容量=逻辑寄存器个数*物理寄存器编号位宽,例如32*6=192bits
  • 速度要快于cam

crat:

  • 也用逻辑寄存器编号寻址,但是寻址时是基于内容比较(cam)。也就是用逻辑寄存器编号与存储的表项一一比较,相同且v有效则返回对应的地址(物理寄存器编号)。
  • 单张表的容量= 物理寄存器个数*逻辑寄存器位宽,例如64*5=320bits
  • 因为要比较内容,速度慢

比较:

  1. 物理寄存器个数越多,单张表而言srat要比crat小很多,且此时srat功耗更低,速度也更快。
  2. 分支预测时需要保存checkpoint,当流水线很深时checkpoint个数很多,此时快照有多少个srat就需要复制多少份。但是对crat而言,只需要为每个快照保存一列v即可。所以这种情况下crat由于srat。

7.3.1基于sram的重命名映射表

   新写入srat的值会覆盖掉就的对应关系,比如两条指令先后写入同一个目的寄存器,那么srat中该目的寄存器对应的表项就会被覆盖。这样做可以吗?
答案是不行,原因有二:

  1. 前面也说了被覆盖的旧对应关系在释放物理寄存器时也是需要的,因此旧的对应关系需要记录下来。这样在第二条指令写同一个目的寄存器时,旧的对应关系中的物理寄存器就可以释放了。这个旧的对应关系实际被记录在rob中。
  2. 当一条指令之前存在异常或分支预测失败的指令时,该指令需要从流水线中抹除。同时该指令对rat的修改也需要被恢复,此时就可以利用之前保存的旧映射关系了。

限制

  • 流水线中分支指令越多,就要使用越多的checkpoint。此时就会时重命名映射表复制多份。

解决办法

  • 使用预测方法,对分支指令的正确度进行预测,对那些预测正确率很高的指令,就不对他们使用checkpoint。虽然这些指令发生错误时需要用很慢的方法对rat进行恢复,但是好在这种错误发生概率很低,所以对性能影响不大。

7.3.2 基于cam的重命名映射表

   cam重命名映射表中,每个表项表示一个目标寄存器对应的逻辑寄存器。多条指令可能有相同的目标寄存器,因此重命名映射表中可能会有若干个地址中的内容是相同的,但是这几个地址中应该只有最后一个是有效的,因为之前的映射会被后面的映射覆盖,所以需要一个有效位v来标志最后一次映射。

   crat实际结构如下图:

   sram的部分用来存储逻辑寄存器编号,cam部分用来进行内容比较,最后汇总到编码器。v也会送入编码器,最终得到物理寄存器编号。

  • crat中,并不是v为0就表示这个物理寄存器是空闲的。可能只是这个映射关系刚被覆盖而已。
  • 如果物理寄存器是空闲的,那么它在crat中对应的有效位一定是0。
  • 在进行checkpoint保存时,只需要保存一份v向量就可以了。

  下面是一个示例:

  在执行到指令d时,会将v保存一份checkpoint:

  同理,执行到g的寄存器重命名阶段,假设d还没得到结果,则又会保存一份checkpoint:

  如果指令执行完后发现d预测出错,就会使用gc0对crat进行状态恢复,d之后的指令对应的有效位都会被置为0,还要对空闲列表free list进行恢复。最后还要清空所有gc。因为后面的gc都在gc0的预测失败路径上。

7.4 超标量处理器的寄存器重命名

对于dest=srcl 0p src2这样的指令来说,寄存器重命名的过程如下:

  1. 从rat中找到src1和src2对应的物理寄存器psrc1和psrc2。

  2. 从free list中找到一个空闲的物理寄存器pdest,作为目的寄存器dest对应的物理寄存器。

  3. 将映射关系写到rat中:

   可见,rat需要支持:

  1. 三个读端口,两个用来读取两个源寄存器;另一个用来读取每条指令的目的寄存器之前对应的映射关系(用来释放物理寄存器)。
  2. 一个写端口,用来写目的寄存器的映射关系。

   对于一个4-way的超标量处理器来说,每周期又四条指令进行寄存器重命名,因此需要:12个读端口,4个写端口。如下图所示:

   在每周期进行重命名时各指令之间存在各种相关性:

   waw相关性的处理

  1. 写入rat时,只需要将逻辑寄存器的最新映射关系写道rat中。如果一个周期内多条指令的有同一个目的寄存器,执行需要写入最新的那条指令的映射关系。
  2. 将每条指令的旧映射关系写入rob时,若一个周期内多条指令使用一个目的寄存器,则写入rob的旧映射关系不再是来自rat读取的值,而是来自和它有waw相关性的指令。

   war相关性的处理:寄存器重命名可直接消除。

7.4.1 解决raw相关性

   在4-way的超标量处理器中,一次可以对四条指令进行寄存器重命名,过程如下:

   上图中r1,r2被映射到p10,p11,目的寄存器r1,r3,r5,r8分别映射为p31, p40, p8, p5(从free list中选取)。

   当存在raw相关性时:

   r1寄存器存在raw,因此第三条指令中的r1应该使用映射后的寄存器,也就是p31。
   此时,需要一种组内相关性检查机制,对一个周期内进行重命名的所有指令进行raw检查。需要将每条指令的源寄存器编号与前面所有指令的目的寄存器编号进行比较,如果相等,源寄存器的物理寄存器就应该来自free list的输出,而不是rat的输出。

  • 下面是组内相关性检查的一个电路示意图:
  • 其中的相关性检查电路如下图:

7.4.2 解决waw相关性

  1. 对rat进行检查:

    • 多条指令的目的寄存器相等时,只有最新的那条指令的映射关系会被写入rat中。
    • 相应的电路结构:
  2. 对rob进行检查:

    • 为了释放物理寄存器,每条指令需要从rat中读出它之前对应的物理寄存器,写回到rob中。
    • 若一个周期进行重命名的几条指令中有两条指令目的寄存器相同,则较新的指令对应的旧的物理寄存器就直接来自于旧的那条指令(free list),而不是rat的输出。

相应的电路图如下:

  • 若读取rat的源寄存器和写入rat的目的寄存器是同一个,会遇到同周期读写同一个地址的问题。
  • 应该采用读优先的策略,也就是先读出旧值,再向该地址写入新值。

7.5 寄存器重命名过程的恢复

  分支预测失败或者异常会导致指令从流水线中抹除,此时需要将被这条指令占用的资源进行恢复。除了要恢复rob和发射队列,还要对rat也进行恢复。
  对rat的恢复主要有3种方法:

  1. checkpoint
  2. walk
  3. architecture state

7.5.1 checkpoint

  • 所谓checkpoint就是对某些部件在某个时刻原封不动保存一份。相当于某个时间对处理器状态拍了一个快照。
  • 一般将checkpoint简写为gc(global checkpoint)。
  • 若状态恢复时,可以从任意一个gc中读取,那这种方式就是随机访问的gc (random access gc, ragc);
  • 若在状态保存时,只有一个gc与状态寄存器直接相连,其他gc要逐级移位才能放到指定gc中,就称为串行访问的gc (sequential access gc, sagc)

ps. 串行访问的gc能减少对主存储部件的驱动能力的要求,但是相应的,需要较长时间才能进行状态恢复。因此ragc更适合做checkpoint。

   ragc在电路上是如何实现的呢?

  • rat实际上本质是多端口的sram或寄存器堆。实现checkpoint时,需要在sram的每个main bit cell(mbc)旁边加入一个checkpoint bit cell(cbc)。
  • mbc的内容可以复制到cbc,称为allocate
  • cbc内容复制到mbc, 称为restore
  • 电路结构如下图:

7.5.2 使用walk

背景:

  • checkpoint电路会增大硬件开销
  • 由于硬件限制,checkpoint没法做很多

另辟蹊径使用rob对rat进行恢复

  • rob中存储了一条指令之前对应的物理寄存器。
  • 从rob底端最新的指令开始,逐条将每条指令之前对应的映射关系写道rat中。
  • 对于4-way的处理器,rat支持4路写端口,可以每周期从rob中walk四条指令。

缺陷:

  • 速度较慢,需要对流水线中的指令有选择的抹除
  • 还要逐个地对指令进行恢复

优势:

  • 消耗资源少

7.5.3 使用architecture state

背景

  • 在统一的prf进行寄存器重命名的方法中,所有逻辑寄存器都混在物理寄存器中了。当要从处理器外部访问逻辑寄存器,直接用寄存器重命名阶段的rat是很难实现的,因为它是推测的(speculative)。因此一般都会在流水线的提交阶段也用一个rat。
    例如下面的一组指令:

  当a离开流水线时,r1的值存储在p31内。如果在d完成重命名的时刻,处理器外部访问逻辑寄存器r1,如果直接用重命名阶段的rat,那只能得到p34这个映射结果,而该映射是推测的,可能被分支预测失败或异常而抹除。所以不应该被外界看到这个映射。

pg电子平台的解决方案

  • 所有正确离开流水线的指令都会更新这个rat。称之为arat(architectrue rat)

  • arat的状态肯定是正确的。其中的逻辑寄存器到物理寄存器的映射关系也是正确的而非推测的。

rat状态恢复

  • 分支预测失败时,不马上进行rat状态恢复,而是让处理器继续执行。
  • 当该分支指令变为最旧的指令,arat中就表示了分支指令所对应的正确状态的rat。因为分支指令前的指令都正确离开了流水线。
  • 此时可以将arat的内容复制到重命名阶段的speculative rat,就完成了rat的状态恢复。
  • 这种恢复可以在rat中的bit cell中建立连接(类似checkpoint)

7.6 分发

   流水线的分发(dispatch)就是将重命名后的指令写道发射队列(issue queue)和重排序缓存rob的过程。指令到达发射队列后,就可以乱序执行了。


知乎:flappylyc – 知乎 (zhihu.com)
博客园: love小酒窝 – 博客园 (cnblogs.com)
csdn:love小酒窝的csdn博客-ic,verilog,计算机体系结构领域博主
公众号已开,了解更多相关内容可以扫一扫下方二维码~

以上就是小编对于超标量处理器设计——第七章_寄存器重命名问题和相关问题的解答了,超标量处理器设计——第七章_寄存器重命名的问题希望对你有用!

免责声明:本站所有文章内容,图片,视频等均是来源于用户投稿和互联网及文摘转载整编而成,不代表本站观点,不承担相关法律责任。其著作权各归其原作者或其出版社所有。如发现本站有涉嫌抄袭侵权/违法违规的内容,侵犯到您的权益,请在线联系站长,一经查实,本站将立刻删除。 本文链接:https://www.andon8.com/456924.html

网站地图